Hirdetés

2024. április 19., péntek

Gyorskeresés

Útvonal

Fórumok  »  Egyéb hardverek  »  PLC programozás

Hozzászólások

(#5151) byte-by válasza joci9 (#5150) üzenetére


byte-by
tag

halo
nem "magyar frekiváltós liftek" van szó.
fetételezem a liftek, pláne a személyszállítók, külön szabvány alá tartoznak, külön biztonsági szabályok vonatkoznak rájuk.
azért is vannak speckó cégek erre.
az iparban használatos általános célú frekiváltókról beszélünk , amiknek a gépkönyve egyébként leírja mit lehet tenni, mit nem, legtöbbször.

pl. (azért írom ezt , mert ezt használjuk leginkább)
-az omron (yaskawa) kimondottan ellenzi a főáramkör kimeneti kábelének megszakítását, pláne feszültség alatt,
-a tranziens jelenségek elkerülése végett árnyékolt főáramköri kimeneti kábelt javasolnak, a vezérlésnél csavart érpárt,
-a főáramkör betáp oldalának megszakítását elnézi, de megjegyzi , hogy ezt sem ajánlatos tenni félóránként 1-nél többször,feszültség alatt,

ezek nem betarthatatlan szabáylok, nyilván a frekiváltó élettartamának tesz jót, ha figyelünk arra amit a gépkönyv ír.

byte

[ Szerkesztve ]

(#5152) Achilles83


Achilles83
csendes tag

Frekiváltós téma.Nálunk sok helyen olyan motor van, a vészstop oldja a motor és a frekiváltó közötti mágneskapcsolót + a biztonsági bemenetet.Elektromágneses mechanikai fék biztosítja utána a gyors megállást.

(#5153) moseras


moseras
tag

Üdv!

Frekiváltós téma:

Schneider Altivar 312:

Imi.

(#5154) joci9 válasza byte-by (#5151) üzenetére


joci9
tag

Szia!

A lifteket csak példának hoztam, ott is szeretik a yaskawát használni, és nem csak az L7-L1000-es típusokat.
És nem szoktak tönkremenni ez okból, terhelés meg van rajtuk, akár pár százezer indulás/év.
Veszélyes gépnél a tervezőnek biztosítania kell, hogy biztonsági kör vagy vész stop esetén a motor illetve berendezés minősítetten megálljon, és ne indulhasson el. Ezt pár éve még csak a frekiváltó és a motor közti kör megszakításával lehetett elérni, 10 éve kezdtek megjelenni a minősített nyomaték leválasztós készülékek.
A szabványok keszekuszák, én azt vettem ki belőle, hogy amelyik gép tud embert darabolni ott bizony redundánsan és figyelve kell elvenni a nyomatékot. Az a régi 4-es kategória meg sil akámennyi.
Ez előrébb való, mint a frekiváltó élettartama. És sokan nem tartják be.

üdv
BJ

(#5155) byte-by válasza joci9 (#5154) üzenetére


byte-by
tag

halo !

lehet a frekiváltó és a motor közé kapcsolót tenni, csak erősen nem ajánlott, mint jeleztem pláne feszültség alatt.
ha mégis akkor le kell venni a feszültséget kapcsoláskor.

ez gondolom megoldható, nyilván a lekapcsolással együtt a feszültséget is érdemes elvenni.
pl. az l7-l1000 gépkönyve is megjegyzi, hogy "soha ne csatlakoztasson ki vagy be motort amikor a frekvenciaváló kimenetén feszültség van".
ez adott esetben a fentebb említett megoldással üzemelhet, de egyéb ipari alkalmazásokban felesleges megszakítani a főáramkör kimenetét, mi nem szoktuk.
amit leírtam az a nálunk alkalmazott technológia.

nálunk is vannak darálós gépek, sőt vannak kifejezetten darálók is, keverők is, nem mágnes fékezettek, hanem közepes-nagyteljesítményű aszinkron motorok.
ha belegondolunk, adott esetben megszakítom a motorkábelt, a motor kifutva megáll.ez nálunk egy 2 köbméteres keverő esetében 3,5 sec, pláne , ha tele van és a forgó termék tehetetlenségi nyomatékot generál.
de izlések és pofonok...

byte

(#5156) Achilles83


Achilles83
csendes tag

Nem tud valaki eladó HMI-t PLC-hez?

(#5157) joci9 válasza byte-by (#5155) üzenetére


joci9
tag

szia

igen, lekapcsolással együtt az engedélybemenetet is el kell venni, azaz mire a mágnes bont, már elveszi a szuflát kb.

ahol "gyakran" tud darálni nem csak darálni valót, ott meg figyelni kell arra, hogy az a gép időben megálljon minősítetten amikor meg kell neki állni.

ízlések és pofonok: én nem dolgoznék olyan géppel amin nincs biztosítva hogy nem vágja le az ujjamat vagy mást amikor a közelébe megyek. Láttam már olyan gépet, nem egyet, ami levághatná.

üdv

(#5158) aky01


aky01
tag

Sziasztok!

Egy kis segítséget szeretnék kérni.

Adott egy s7-300 által vezérelt gép.
Van egy alkatrész adagoló rendszer, ami acél alkatrészeket lő át pneumatikus levegővel egy műanyag adagolócsövön.
A csövön van egy induktív szenzor, mely az alkatrész áthaladását detektálná.
A szenzor minden estben kapcsol az alkatrész áthaladásakor, ez a bemeneti kártya led-jén is szemmel látható.

Probléma:

A PLC nem minden esetben detektálja ezt a jelet, holott az megérkezik a bemeneti kártyára.
Próbálkoztam már interrupt kártyával is, de a helyzet nem változott.

Ebben kérném segítségeteket, mit csinálok rosszul.

Előre is köszi.

(#5159) Szirty válasza aky01 (#5158) üzenetére


Szirty
őstag

Helló aky01!

A rövid impulzusokat akkor lehet PLC-vel szoftveresen teljes biztonsággal érzékelni, ha a bejövő impulzus hossza minimum kétszer hosszabb a PLC teljes és leghosszabb ciklus idejénél.
Ha az érzékelés olyan program blokkban van amelyik nem minden PLC ciklusban fut le (pl. feltételekhez van kötve, vagy timer interruptból fut) akkor a ciklus idő alatt az érzékelő program lefutásának gyakoriságát kell érteni.

Ha ez nem teljesül maradéktalanul, az érzékelés bizonytalan lesz.
Amennyiben az illető bemenet terepi buszos szigeten van (nem a PLC rack-en) akkor a történetet befolyásolja a terepi busz ciklusainak hossza is (az adatsebességből és a buszon lévő eszközök számából adódik többnyire).

Az ilyen rövid impulzusok észlelésére több más mód is van (amennyiben a fenti ciklus idő kritérium nem teljesíthető).
Pl. a megszakítás, amit egy szó erejéig említettél is. Ha az impulzus érkezése megszakítást okoz (megfelelően magas prioritással) és az impulzusok gyakorisága nem rövidebb a megszakításkor elvégzett műveletekhez szükséges időnél, akkor megszakítással minden impulzus biztonságosan érzékelhető ( az érzékelésre adott válasz késleltetése azonban már más kérdés).

Továbbá a leírt dolog nagyban emlékeztet pl. csavar adagolóra, ahol tipikusan PA csőben levegővel átlőtt csavart kell érzékelni. Erre külön kitenyésztett érzékelők léteznek, melyek a legrövidebb érzékelési impulzus hatására is (a nagyon gyorsan áthaladó csavar esetén is) egy minimum (beállítható) hosszúságú impulzust ad ami mindenféle egyéb erőfeszítés nélkül biztonságosan érzékelhető és feldolgozható.

(#5160) aky01 válasza Szirty (#5159) üzenetére


aky01
tag

Szia!

Köszi a gyors választ.
PLC rack-en van a bemeneti kártya. Próbálkoztam megszakítással is, de ekkor sem mindig hívta meg OB40-et az impulzus, pedig a bemeneti kártyán szemmel láthatóan felvillan a bemenet led-je.
Az impulzusok gyakoriságával nem lehet gond, mert kb. 20 másodpercenként halad át egy alkatrész az érzékelő előtt.
Azt hiszem az érzékelő csere lesz a legegyszerűbb megoldás.

(#5161) toMI-DBT válasza n0rbert0 (#5101) üzenetére


toMI-DBT
csendes tag

Szia!

Ez a PLC típus nem Ethernetes tudtommal. Két portja van amin DH485-ön és soros-an tudsz kommunikálni!

(#5162) n0rbert0 válasza toMI-DBT (#5161) üzenetére


n0rbert0
senior tag

Köszi, de azóta már megoldódott.

(#5163) Achilles83


Achilles83
csendes tag

Sziasztok!Tanácsot szeretnék kérni.Monitoroznom kéne pár dolgot Omron CJ1M PLC-ről, a HMI elég drága ehhez, de viszont van a CX-Designernek egy NS-Runtime funkciója, hogy ugye egy PC-ről is tudok vezérelni, monitorozni.Az lenne a kérdésem, hogy szerintetek ehhez milyen erős gép kell, azon felül, hogy a CX-One feltelepüljön?Esetleg valaki már próbálta ezt?

(#5164) joci9 válasza Achilles83 (#5163) üzenetére


joci9
tag

Én tavalyelőtt kértem árat NS-Runtime-ra de nem volt olcsó. Vagy nem egyre gondolunk?
Sztem nem igényel komoly gépet. (5-6 éves elviszi, CX-Designerrel 9 éve foglalkoztam :-))
Azóta ESA cuccosokat használtam, ott is van ilyen, annak a 10 perces verziója ingyen van.
Azt szoktam használni beüzemeléshez (bár csak ethernettel), hogy ne kelljen mindig letöltikézni a projektet.

(#5165) Achilles83 válasza joci9 (#5164) üzenetére


Achilles83
csendes tag

Egy érintős laptopra gondoltam ami a HMI-t hejettesítené.20-40 ezer körül már lehet venni és olcsóbb mint a HMI

(#5166) Szirty válasza Achilles83 (#5165) üzenetére


Szirty
őstag

Nem lesz túl tartós mechanikailag és csak lopott szoftverrel fog ennyibe kerülni.

(#5167) dokikaaa


dokikaaa
csendes tag

Üdv!

Érdeklődni szeretnék, hogy lehetséges valahogy, ModBus TCP-n kommunikálni (STEP 7 V5.5, 300-as PLCvel) PN-en keresztül a megvásárolt liszenszen kívül, amit a siemens ajánl, vagy van valami féle másik lehetőség? Nézegettem ezeket a "T blocks" FB-ket (TSEND, TRCV, TCON, TDISCON), amik alapból benne vannak a Step 7-ben, és TCP-n keresztüli kommunikációt kínálnak. Ezekkel meglehetne oldani, esetleg van más megoldás a fizetős változaton kívül?

Előre is köszönöm a segítséget.

(#5168) Andris246


Andris246
tag

Sziasztok

A laptophoz venni akarok USB-ről Serial átalakítót.
A kérdésem az volna hogy milyet is vegyek?
A lényeg hogy omron és siemens-t tudjak programozni.
Siemens-hez van ts adapter átalakítóm.

Tudnátok adni valami ebay vagy ali-s linket.
Válaszotokat köszönöm.

(#5169) levelko válasza Andris246 (#5168) üzenetére


levelko
csendes tag

Hali!
A kínai ATEN is megteszi az OMRON-hoz. Ez olcsó, viszont a SIEMENS nem szereti.
Én az OMRON CS1W-CIF 31-et használom. Mondjuk ennek 59660Ft az Omron lista ára. :(((

levelko

(#5170) joci9 válasza levelko (#5169) üzenetére


joci9
tag

Szia!

Én is omron cifet használok 10 éve, az működ mindig mindenhol minden körülmények között, 2 példányban is egy gépen.

Más:
SIemensből csak s7-200-at programoztam, ahhoz, és mindenféle rs-485-öt használó eszközhöz meg a Telemecanique TSXCUSB485 vált be. Így kevesebb drótot kell hordanom a táskámban. Ennek RJ45 a vége és lehet hozzá gyártani mindenféle átalakítót.

ü
BJ

(#5171) Andris246 válasza levelko (#5169) üzenetére


Andris246
tag

Az aten kipróbálom és hátha jó lesz.
Már meg is rendeltem :)

Hátha a siemens-el is működésre bírom :DD

Köszi a segítségeket.

(#5172) And válasza Andris246 (#5171) üzenetére


And
veterán

(Mi is ilyen ócska ATEN-féle USB-soros illesztőket kaptunk annak idején 'valódi' soros portos laptopok helyett, de pont a Siemens S7 PLC-k nem szoktak problémázni vele. Telemecanique / Schneider-ek - Micro, Premium, Twido - és Allen-Bradley-ek - ebből csak 1-2 példánnyal próbáltuk - viszont nem komálják.)

(#5173) Andris246


Andris246
tag

Esetleg ha nem menne akkor még megpróbálom az express card-os Rs232-őt.
Ebből tudnátok vmi típust mondani ami működik plc-vel is?

Vagy ezek nem olyan érzékenyek mint az usb átalakítók?

Üdv: Bandi

(#5174) skul0 válasza Mazsika (#5010) üzenetére


skul0
aktív tag

Üdv!

Tudom, most egy több hónapos hozzászólásra reagálok, de kérdezném: sikerült végül megoldani a dolgot? A hetekben jött elő nálam is ez a probléma STEP7 v55 telepítésénél. Windows újratelepítés után, mint kiderült csak annyi volt a probléma, hogy a telepítési fájlokat tartalmazó elérési út tartalmazott ékezetes mappanevet.

#5011 Szirty

Alapesetben valóban nem támogatott a Windows 8 és 8.1, azonban kis ügyeskedéssel minden bizonnyal S7-GRAPH esetén is megoldható a dolog ezen leírás alapján. Természetesen 8.1 esetén más OS azonosítót kell megadni.
Nekem is Windows 8.1-en fut a STEP7, virtuális oprendszer nélkül.

[ Szerkesztve ]

(#5175) PLCjob


PLCjob
újonc

Sziasztok!

Siemens S7 (esetleg S5) tapasztalattal keresünk PLC PROGRAMOZÓt autóipari beszállítóhoz, a kecskeméti régióba:

Feladatok:
• A gyárban működő PLC vezérelt gyártógépek és robotok önálló programozása
• Hibakeresés és hibaelhárítás
• A gépek megfelelő és hatékony működésének biztosítása
• Szoros együttműködés a karbantartási csapattal az állásidők minimalizálása érdekében
• Kapcsolattartás a cégcsoport más leányvállalatainak szakértőivel 

Elvárások:
• Siemens S7 vagy S5 programozási gyakorlat
• Többéves tapasztalat hasonló feladatkörben
• Legalább középfokú angol- és/vagy német-nyelvtudás
• Releváns végzettség
• Jó problémamegoldó képesség
• Kreativitás és rugalmasság
• Kiváló kommunikációs készség és csapatjátékos hozzáállás

Jelentkezni a cv@fodorhr.hu e-mail címen lehet.

(#5176) Szirty válasza Andris246 (#5173) üzenetére


Szirty
őstag

Helló Andris246!

A legnagyobb gond az USB-RS232 átalakítókkal, hogy nem +/-12V-os jelszintekkel dolgozik.
Azt ugyan nem tudom hogy konkrétan melyik express card-os kártya vagy USB átalakító biztosan ilyen, de ha rendelkezésre áll részletes tech.spec. amiben szerepelnek a jelszintek akkor ezt figyeld.

(#5177) Szirty válasza skul0 (#5174) üzenetére


Szirty
őstag

Helló skul0!

"Alapesetben valóban nem támogatott a Windows 8 és 8.1, azonban kis ügyeskedéssel minden bizonnyal S7-GRAPH esetén is megoldható a dolog"

Igen. Csak foglalkozni kell vele aztán vagy sikerül vagy nem.
Az hogy "nem támogatott" nem azt jelenti hogy garantáltan lehetetlen telepíteni és használni vele, hanem azt hogy erre a gyártó nem ad semmiféle garanciát.

"Nekem is Windows 8.1-en fut a STEP7, virtuális oprendszer nélkül."

Ez is rendben van, ez sem lehetetlen (főleg a fentiek miatt).
Sajnos azonban egy Step7.V5.4-et egy WinCC Flexible 2007-el és mondjuk egy ProTool 6.0-val nem annyira biztos hogy garantáltan sikerülne virtuális gép nélkül ráfaragni. Márpedig vannak rendszerek amik ezt igénylik, tehát marad a virtuális géppel való bajlódás.
Sőt! Sok esetben nem is egy virtuális gép kell, mert mondjuk a SICK safety PLC-jének szoftvere és az OMRON safety PLC-jének szoftvere egy rendszeren nem futnak kitörő örömmel.

(#5178) dokikaaa válasza Szirty (#5177) üzenetére


dokikaaa
csendes tag

Üdv Szirty!

Segítségedet szeretném kérni. Valahogy meg kéne oldanom a Siemens PLC PN interfészén keresztül modbus TCP kommunikáció kialakítását. Foglalkoztál már esetleg ilyesféle dologgal? Azt tudom hogy a siemens árul megírt blokkokat, de azt elvileg CPU-nként kell megvenni elég borsos áron. Találtam a support oldalon mintaprogramot, ami elvileg TCP csomagokba ágyazza a kiküldött adatot. Van hozzá Open communication wizzardot is, ami a szükséges DB-t feltölti a megfelelő adatokkal. Ebben a mintaprogramban FB63-66 ig lévő kommunikációs függvényeket használja. A kérdésem az volna, hogy nem tudod véletlenül, ezek a blokkok megoldják a kiküldött adat TCP csomagolását, illetve vételnél a kicsomagolást? Mert akkor már csak a modbusz keretet kéne programban hozzátenni.

Köszönöm a segítséget! :R

[ Szerkesztve ]

(#5179) Szirty válasza dokikaaa (#5178) üzenetére


Szirty
őstag

Üdv!

Sajnos nem tudok érdemben segíteni, nem foglalkoztam modbus kommunikációval még eddig.

Ha itt nem kapsz választ, nézz el a PLC listára.
Ott vannak MODBUS-al foglalkozó szakik, kérdezz bátran ott is!

(#5180) dokikaaa válasza Szirty (#5179) üzenetére


dokikaaa
csendes tag

Köszi a segítséget :)

(#5181) Dezsi82 válasza dokikaaa (#5178) üzenetére


Dezsi82
tag

Üdv!
A modbus TCP elég egyszerű szerkezetű, könnyen megírható a keret. Én innen vettem az infókat:http://www.simplymodbus.ca/TCP.htm
TCPn ismét nem nagyon bonyolult a kommunikáció:
-wizardban beállítod a paramétereket
-FB65 (TCON)-nal portot nyitsz
-FB63(TSEND)-del küldesz.
-FB64(TRCV)-vel fogadsz adatot
-FB66(TDISCON)-nal bontod a kapcsolatot, ha szükséges

A TCP kommunikációt a kommunikációs processzor teljesen lerendezi, nem sokkal bonyolultabb egy ilyen alkalmazás, mintha soros portot kezelnél

(#5182) moseras válasza dokikaaa (#5178) üzenetére


moseras
tag

Üdv!

Siemens-et nem ismerem, de nézz el ide:

Oscat

és ott keresd az oscat network library csomagot. Abban (ST nyelven) meg van írva a modbus tcp/udp, kliens és szerver is. Az esetleges ST nyelvbeli eltéréseket neked kell kezelni. Fontos, hogy én ezt a blokkot nem használom, mert WAGO-t használunk, és ott ingyenes a modbus tcp/udp blokk, úgyhogy a blokk működésével kapcsolatban érdemben nem tudok segíteni. Ha elakadsz, akkor az oscat oldalon van fórum, illetve van német és angol nyelvű pdf a blokkok működéséről.

Imi.

[ Szerkesztve ]

(#5183) attrax


attrax
aktív tag

Sziasztok,vennék használt plc-t szoftverrel kábellel ha valakinek feleslegbe lenne.Szükségem volna kb20 bemenetre és 16 kimenetre.Létrában szeretném programozni.

Unterschreiben

(#5184) dokikaaa válasza Dezsi82 (#5181) üzenetére


dokikaaa
csendes tag

Nagyon szepen koszonom:) igen megtalaltam enis ezeket az fbket, de akk tuti jok :) koszonom a segitseget!

(#5185) dokikaaa


dokikaaa
csendes tag

Üdv! Sajnos újabb feladatot kaptam :) Vision x9- el kell kommunikációt kialakitani s7 tcp-n keresztül. A PLC egy 314 PN, ugye integrált profinetes interfésszel. A kommunikációt ezen keresztül kéne kialakitani ( nem CP kartyaval). A CP kartyas kialakitassal nem lenne problema, viszont integralt pn interfeszen keresztul nem sikerul kialakitani. A vision- nek van az s7 TCP hez leirasa, de az szerintem CP kartya eseten igaz.

Esetleg ha valaki csinalt mar ilyet, es tudna segiteni akkor elore is koszonom a segitseget!

(#5186) TotoThomas válasza dokikaaa (#5185) üzenetére


TotoThomas
csendes tag

Szia!

Mi csináltunk TCP kommunikciót S7 300, és Vision X9 között.

(#5187) TotoThomas válasza attrax (#5183) üzenetére


TotoThomas
csendes tag

Szia!

S71200-as alapgépem van 3 db, analóg, és digitális bővítő, kommunikációs kártya is.
Ezek műhelyben tesztelésre voltak használva.

(#5188) dokikaaa válasza TotoThomas (#5186) üzenetére


dokikaaa
csendes tag

Üdv!

A 300 ashoz cp kártya volt, vagy a beépítrtt pn interfészen keresztül alakitottátok ki a kommunikációt?

Köszi

(#5189) TotoThomas válasza dokikaaa (#5188) üzenetére


TotoThomas
csendes tag

beépített interface. Azt hiszem hogy 315 -2 pn\dp, de kb mindegy is.
S7 1200-al is működik. Első körben keep serverrel, aztán jött a közvetlen kapcsolat, a plc, és a vision saját modbus tcp protokolljával.

(#5190) dokikaaa válasza TotoThomas (#5189) üzenetére


dokikaaa
csendes tag

Nem modbus, hanem s7 tcp kommunikáción keresztül. A netproban felkell venni a ket darab connectiont. Viszont ha cp kartya nelkul probalom, kiválasztva a tcp kommunikációt, azt írja ki, hogy nem megfelelő ehhez a protokollhoz a cp kartya. Ha s7 kommunikaciot valasztok, a behuzott other station-hoz leforditasnal azt irja nem ismeri az other station az s7 kommunikáciot. Ez miatt gondoltam, hogy lehet az integralt pn interfacen keresztul nem tamogatott a dolog. Cp kartyaval tuti meglehet oldani mert ha behuztam a hw konfigba engedte leforditani, es van ahhoz mukodo projektem is.

(#5191) TotoThomas válasza dokikaaa (#5190) üzenetére


TotoThomas
csendes tag

Csak a modTCP-vel van működő cuccunk.
PLC-ben ey gyári fb meghvésa, majd db olvasás, írás.
Ha lesz egy kis időm, kipróbálom az S7TCP-t, igaz csak 1200-as PLC-vel tudom megtenni.

(#5192) bodnarg


bodnarg
csendes tag

Sziasztok!

Két témában kérném asegítségeteket. Adott egy 9 jegyű egész számokból álló számsor ami DINT ként van tárolva recept, pl.: 39990110 ezt kellene String típusra konvertálni és átalakítani a következő formátumra 3.9999.011.0 azaz be kell illeszteni néhány pontot. Ez azért érdekes mert aztán ezt a számsort nyomattaja ki egy nyomtató. A DINT => String konverzióra talátam standard funkcióblokkot FC 5 DI_String_ IEC illetve a pontok beszúrására talán alkalmazható lenne FC 17 Insert standard funkció blokk. Alkalmazható lenne véleményetek szerint? Gondlom 3x kellene egymás után meghívni lépésenként.

A második receptkezeléssel kapcsolatos. MP 277 illetve 377 HMIk. Az a receptkezelési mód amit én ismerek olyan, hogy a panel által generált listából, a dolgozó kiválaszthatja a kívánt receptet és rátölti a PLC-re egy gombnyomásra. van e arra valami frappáns megoldás hogy a panel automatikusan beazoniosítsa a recept azonosítóját, és egyezés után rátöltse magától a megfelelő adatokat a PLC-re vagy felékínálja hogy akarom e rátölteni. Elég sok a recpt illetve hasonló a számsor pl.399990110 ezért nem lenne szerencsés a dolgozó által kikeresős, kiválasztós módszer. Ehhez valami scriptet kellene kitalálnom? Mi a véleményetek hogy eleht ezt megoldani.

Köszönöm előre is a segítséget. :R

BG

BG

(#5193) Szirty válasza bodnarg (#5192) üzenetére


Szirty
őstag

Helló bodnarg!

Az nagyjából kiderült, hogy Siemens S7-ről van szó.
Melyik? A régi Step7-ben, vagy TIA-ban kell?

(#5194) Andris246


Andris246
tag

Sziasztok.

Olyan problémám volna hogy vettem 1 usb rs232 átalakított (aten uc232a itt ajánlottátok) és omron plc-vel (CQM1) próbáltam és nem hajlandó kommunikálni.
Pedig omron-nal tökéletes volna elvileg.
Tudnátok segíteni hogy bírtátok rá működésre?
Esetleg port beállításoknál van a probléma?
(az asztali pc port beállításait használtam de az volt az alap beállítása is)
Kábelem jó mert asztali pc-vel tudok kommunikálni a plc-vel.
Minden ötletet meghallgatok és köszönöm.

Üdv: Bandi

(#5195) bodnarg válasza Szirty (#5193) üzenetére


bodnarg
csendes tag

Szia Szirty,

Bocs felületes voltam. S7 300 rendszerre keresem a megoldást, a teljes projekt a "régi" redszerben van kezelve, ezért nem szeretnék áttérni a TIA portalos megoldásra hacsak nincs valami nagyon nagy előnye.

Köszi:

BG

BG

(#5196) byte-by válasza Andris246 (#5194) üzenetére


byte-by
tag

halo !

lehetséges problémák,
-az aten általában jó, érdemes ellenőrizni , hogy a drivere fent van-e,az aten-en van egy visszajelző led, annak elvileg villognia kell, ha valamilyen kapcsolat létrejött.
- az omron nem pontosan az ibm sztenderd rs232 pin kiosztást használja , ez még lehet probléma, bár azt írtad pc-ről megy.

igazából, ha az átalakító oké, (és az usb foglalat is) a kábel rendben, a portbeállítások alaphelyzetben, akkor auto keresésben meg kellene találnia.

érdemes lecsekkolni a fentieket, de másnak talán van jobb ötlete.

byte

(#5197) Andris246 válasza byte-by (#5196) üzenetére


Andris246
tag

-Driver fent van. Fel is ismeri a gép.
-Próbáltam az auto keresést is de nem talál semmit.
-És néha felvillan a led az Aten-en. Gondolom akkor akarna kommunikálni.

És köszi a válaszod :R

Vkinek még ötlet???

(#5198) Szirty válasza bodnarg (#5192) üzenetére


Szirty
őstag

Helló bodnarg!

Itt egy "gyalogos" megoldás a problémára:

FUNCTION FC 1 : VOID
TITLE =
AUTHOR : Szirty
VERSION : 0.1


VAR_INPUT
Num : DINT ; //Bemenő érték
END_VAR
VAR_TEMP
StrTMP0 : STRING [254 ]; //String átmeneti változó
StrTMP1 : STRING [254 ]; //String eredmény
rv : INT ; //Visszatérési érték
N : CHAR ; //'0'
END_VAR
BEGIN
NETWORK
TITLE =Nulla karakter

L '0';
T #N;
NOP 0;
NETWORK
TITLE =StrTMP0 feltöltése '0' karakterekkel

CALL SFC 21 (
BVAL := #N,
RET_VAL := #rv,
BLK := #StrTMP0);
NOP 0;
NETWORK
TITLE =A dint átalakítása stringgé

CALL FC 5 (
I := #Num,
RET_VAL := #StrTMP0);
NOP 0;
NETWORK
TITLE =Az eredmény string létrehozása

L P##StrTMP0;
LAR1 ;
L P##StrTMP1;
LAR2 ;
L 12;
T B [AR2,P#1.0];
L B [AR1,P#3.0];
T B [AR2,P#2.0];

L '.';
T B [AR2,P#3.0];

L B [AR1,P#4.0];
T B [AR2,P#4.0];
L B [AR1,P#5.0];
T B [AR2,P#5.0];
L B [AR1,P#6.0];
T B [AR2,P#6.0];
L B [AR1,P#7.0];
T B [AR2,P#7.0];

L '.';
T B [AR2,P#8.0];

L B [AR1,P#8.0];
T B [AR2,P#9.0];
L B [AR1,P#9.0];
T B [AR2,P#10.0];
L B [AR1,P#10.0];
T B [AR2,P#11.0];

L '.';
T B [AR2,P#12.0];

L B [AR1,P#11.0];
T B [AR2,P#13.0];

END_FUNCTION

#Num DINT paraméterben bemegy az érték és #StrTMP1 temp változóban képződik.
Esetleg indulj ki ebből (teszteld alaposan).

(#5199) KB.Pifu


KB.Pifu
tag

Sziasztok!

tanácsot szeretnék kérni, szeretnék egyszerű kis programot írni Omron plc-re, leginkább a For/next és paraméterátadás gyakorlása lenne a cél.

Kész a program, (bemásolni sajnos nem tudom) a lényeg, hogy kiválasztja a legnagyobb számot az adott memória területről (MAX, de most csak gyakorlunk!), a hurkon belül minden körben csökkentem eggyel a hurokszámot (COLL utasítás számára ez lesz az offset) és azzal a számmal a COLL utasítással veszem az adott értéket és számolok vele, elve szerint nagyon hasonló (ugyanaz?) Szirty oldalán található indirekt címzéses dologhoz. Működik.

De ha ebből szeretnék FuncionBlockot csinálni, akkor hogy adható meg a COLL utasítás számára a Source Base Adress? Bemeneti változónak nem adhatok Int-t és word-t mert ezek nem memóriaterületre címre mutatnak, próbáltam szögletes zárójelezni, de meghaladja a tudományt.

Bocs ha bután kérdezek, nem foglalkozok ezzel sajnos túl sokat és beszélgetni sincs kivel róla.

üdv
Pifu

(#5200) bodnarg válasza Szirty (#5198) üzenetére


bodnarg
csendes tag

Szia Szirty,

Köszönöm szépen működik a megoldás. Az eredményt (StrTMP1) pedig SFC20 rendszerfüggvénnyel mozfgattam egy db-be. Azt nem tudom hogy jól tettem e így, mindenesetre működik. Köszönöm szépen a segítséget. :R :R :R
A receptezésre van még valami ötleted?

Üdv.: BG

BG

Útvonal

Fórumok  »  Egyéb hardverek  »  PLC programozás
Copyright © 2000-2024 PROHARDVER Informatikai Kft.