Hirdetés

2024. április 25., csütörtök

Gyorskeresés

Hozzászólások

(#1) Blackmate


Blackmate
senior tag

A problemam:

Adott egy Xilinx FPGA, amit - ahogyan az a cimböl is latszik - programoznom kellene. Sosem csinaltam, igy teljesen az alapokrol kell elkezdenem.
Sajnos szegyellve vallom be, hogy felsöfoku vegzettsegem eme szakiranyu, azonban ilyenröl szo sem esett az oktatasban, pedig nem csak az egyetem melle jartam.
Azert a google a baratom, es talaltam sok ''Step by Step'' leirast, amit szorgosan olvasgatok, de minden hasznalhato infot szivesen vennek. Elsösorban port konfiguracios problemat kell megoldanom: adatokat olvasni, irni parhuzamos portrol/ra.

Elöre is köszönöm!

[Szerkesztve]

(#2) Blackmate


Blackmate
senior tag

Valaki? :U

(#3) gesuz válasza Blackmate (#2) üzenetére


gesuz
senior tag

Milyen szinten jársz?
A chipcad ad segítséget (főleg ha van pénzed;])
Amúgy a VHDL és a verilog a kulcs szó.

Kötelemfakasztó - A könyvek legnagyobb hibája, hogy nincs bennük CTRL+F. ''Or do something that's never been done''

(#4) Blackmate


Blackmate
senior tag

Fogalmazzunk úgy, hogy kezdő szinten :) 8051, mikrokontroller programozással nagyjából képben vagyok, de ahogy olvasgatom ez egy merőben más absztrakció. a Verilog, meg VHDL meg van, csak épp erről kellene vmi bővebb info.

Jahh, és ha lehet a Chipcad-et hagjuk :)

(#5) Terminus_ válasza Blackmate (#1) üzenetére


Terminus_
aktív tag

Soros portra már írtam olyat, hogy átküld egy tetszőleges karaktert. Megpróbálom megkeresni holnap a forrását, aztán elküldöm mailben. Egyébként verilogban van, ha megvan még... Párhuzamos elvileg még könnyebb is.

-

(#6) aurum válasza Blackmate (#1) üzenetére


aurum
senior tag

egy vhdl cookbook nevu cuucot keress abban egeszen egyszeru peldaktol indulnak. aztan ott van az vhdl.org meg az opencores.org

es mivel akarod letolteni, forditani a kodot? megvannak hozza a dolgaid? a cpld-keht talan van ingyenes webpack nevu dolog de fpga-hoz nem nagyon ismerek.

I fuck the corner of your mouth, my dear Alexander!!

(#7) B.A.T.21


B.A.T.21
tag

Szia!

1. Mindenekelőtt xilinx-től töltsd le a webpack nevű programcsomagot. Ez ingyenes, csak regisztráltatni kell magad. Ez a programcsomag tartalmazza a programozó sw-t is, bár ilyet nem nehéz írni ...
Webpack hátránya:
- nem ismeri az összes Xilinx cpld-t illetve fpga-t, de azért egész jó a kínálat...
- a tesztvektorok hosszában is van némi korlátozás
- kétirányú buszok tesztelését nem engedélyezi...

2. a 8051 egy mikrokontroller. Az FPGA pedig egy fpga :) (Field programable gate array) röviden annyit, hogy az fpga-ban van egy csomó kapu amelyek úgy kapcsolódnak össze,ahogy azt a vhdl leírásban megadod.
Szóval a VHDL, illetve a Verilog (vagy az abel) NEM program nyelvek a szó megszokott értelmében, hanem HARDWARE leíró nyelvek. Tehát ha ilyen HDL nyelvvel leírsz egy egységet, akkor az az fpga-n belül valóban létrejön huzalozott logikaként. SZóval ha fpga-ban meg akarsz valósítani valamit, akkor a digitális technika c. tárgyad jegyzeteidet vedd elő és gondolkodj úgy, mintha egy huzalozott logikát szeretnél készíteni...

3. Minden Xilinx fpga többféle módon (szám szerint 6 féleképpen) programozható. Ezek közül teszteléshez a JTAG-et ajánlom.


A következő doksik a barátaid:
Xapp098.pdf
xapp176.pdf
Xapp178.pdf
xapp502.pdf

Ezek elérhetőek a xilinx oldalán (ott írd be a keresőbe). Igaz, hogy ezek konkrétan a spartan II-höz vannak, de pl a virtex sorozat is hasonlóan működik.

B.A.T.21

(#8) Blackmate


Blackmate
senior tag

WOW es megegyszer WOW

Köszönöm szepen a hozzaszolasokat, most mar tudom hol, hogyan induljak el. Az elmelettel azt hiszem kepbe vagyok/voltam. Csakhat elmelet - gyakorlat. Jöhet a gyakorlat :D

[Szerkesztve]

(#9) gesuz válasza Blackmate (#8) üzenetére


gesuz
senior tag

''Szóval a VHDL, illetve a Verilog (vagy az abel) NEM program nyelvek a szó megszokott értelmében, hanem HARDWARE leíró nyelvek. Tehát ha ilyen HDL nyelvvel leírsz egy egységet, akkor az az fpga-n belül valóban létrejön huzalozott logikaként. SZóval ha fpga-ban meg akarsz valósítani valamit, akkor a digitális technika c. tárgyad jegyzeteidet vedd elő és gondolkodj úgy, mintha egy huzalozott logikát szeretnél készíteni...''

Ez így van, bár én nem vagyok profi, de ha van egy hardver leíró nyelved pl.:VHDL, akkor sokkal magasabb szinten is gondolkodhatsz, mint egy RS tároló vagy egy NAND kapu:)

Kötelemfakasztó - A könyvek legnagyobb hibája, hogy nincs bennük CTRL+F. ''Or do something that's never been done''

(#10) B.A.T.21


B.A.T.21
tag

''Ez így van, bár én nem vagyok profi, de ha van egy hardver leíró nyelved pl.:VHDL, akkor sokkal magasabb szinten is gondolkodhatsz, mint egy RS tároló vagy egy NAND kapu''

Ez is igaz :), bár azért nem szabad olyan nagyon szabadjára engedni a fejlesztőrendszert, mert időnként nem túl gazdaságosan használja fel a huzalozási és logikai erőforrásokat. Persze kezdetben nem az a cél, hogy ezzel spóroljon az ember, de későbbiekben törekedni kell arra, hogy hátha kisebb fpga-ba is belefér az a logika... ehhez meg kell az, hogy tudja az ember, hogy hogyan épül fel mondjuk az IOB és a CLB (Xilinx fpga) és azt hogyan lehet optimálisan kihasználni....

B.A.T.21

(#11) domi007


domi007
őstag

Engem az érdekelne, hogy az opencore.org-on lévő kódok futtathatóak-e egy egyszerű Xilinx Spartan 3A-n, és ehhez milyen környezet kell?
A környezetet úgy értem, hogy:
Mondjuk veszek egy ilyen FPGA-t, letöltök egy progit az opencore.org-ról, aztán betöltömm valamilyen Xilinx progival az FPGA memóriájába a letöltött kódot, aztán nyomok egy RunIt! gombot, vagy ennél azért komplikáltabb?
Egyébként SHA-1 algoritmus kódot szeretnék futtatni, nem titkoltan biztonság tesztelés felgyorsítása céllal.

DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#12) domi007


domi007
őstag

Leszedtem a ModellSimulatort, most már csak az opencores-os regisztrációra várok, és máris indulhat a testrun!

DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#13) moseras válasza domi007 (#12) üzenetére


moseras
tag

Szia.

Ez nem olyan egyszerű. Az opencore-s cuccok általában tiszta források, mindenféle lábhozzárendelések nélkül. Tehát kell egy új projekt, hozzáadni a verilog vagy vhdl file-t (vagy fileokat), és utána el kell készíteni a te HW-nek megfelelő ucf file-t (XILINX esetén ucf, altera esetén nem tudom). Lábhozzárendelés nélkül nem fogod tudni beprogramozni. Persze ha találsz olyan projektet, ami mondjuk azonnal egy SPARTAN 3E start KIT-re készült, akkor azzal nem kell csinálni ilyent. Nekem a fent említett KIT-em van, ahhoz van gyári SHA-1 alkalmazás, egy DALLAS one wire cucc-ot olvas.

A "top" modult keresd, abban vannak a szükséges KI/BE lábak.

Imi.

(#14) domi007 válasza moseras (#13) üzenetére


domi007
őstag

Köszönöm szépen a sok infót, majd ha lesz egy pici időm, akkor mindenképpen ránézek az általad javasolt dolgokra.
Egyébként mi a véleményed, mi éri meg jobban az általam vázolt célra: egy Geforce videókártya, CUDA-val programozva, vagy inkább egy FPGA (több FPGA összekötve..?).

Ár/érték viszonyok?

Köszönöm az eddigi segítséget!

DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#15) moseras válasza domi007 (#14) üzenetére


moseras
tag

Szia.

[link]

[link]

[link]

Imi.

[ Szerkesztve ]

(#16) domi007


domi007
őstag

Nagyon szépen köszönöm az olvasnivalókat! :R :R

De jön máris a következő kérdés: ha mondjuk FPGA-t szeretnék használni, akkor ugye írtad, hogy adjam hozzá egy projekthez az opencores-os forrást, generáltassak lábkiosztást (ucf filet), stb.
Ez a projekthez való hozzáadás az lenne, hogy Xilinx WebPackkel kellene zsonglőrködnöm?
Mert az a kis drága (mármint a webpack) nem hajlandó települni az XP-s gépemen (Not supported OS). Ilyenkor mit tehetek? Leszedtem mind a 2,25 gigát, és erre ezzel szívat engem...
A beépített Xilinx-es rendszerinfó szerint is XP-m van, 32 bites, magyar. A Xilinx weblapja szerint a WebPack támogatja az oprendszeremet. :F

DOMy

[ Szerkesztve ]

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#17) moseras válasza domi007 (#16) üzenetére


moseras
tag

Szia.

Igen, igen a webpack a te barátod...

Nekem ezt mondja:

Nálad mit mond ?

Imi.

(#18) domi007 válasza moseras (#17) üzenetére


domi007
őstag

Ezt mondja az installer:

[link]
Ezt mondja a xinfo:

[link]

Köszönettel:
DOMy

[ Szerkesztve ]

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#19) moseras válasza domi007 (#18) üzenetére


moseras
tag

Szia.

Hát érdekes...

A release notes textfile nem ír valamit ?

Nincs fent valami hack-elt szervízcsomag, false VISTA update, meg ilyenek ?

Imi.

(#20) domi007 válasza moseras (#19) üzenetére


domi007
őstag

Hello,
Nekem is nagyon érdekesnek tűnik a dolog, a release notesban sincs semmi, ami erre vonatkozna:

install_drivers script - Revision 1040
1033 - Cd to the install_drivers directory.
1035 - Add check for write permission to the install_drivers directory.
- Updated windrvr6 to version 9.00.
- Used modprobe in loading the xpc4drvr 2.6 driver.
1051 - Added support for xp2 and xse.
1052 - Fixed version of xusbdfwu.rules

Semmilyen hackelés nincs fenn, a Windowsom teljesen eredeti, Windows Update frissítgeti. Mostanába (1-2 napja) volt egy update, de már előtte sem ment...

DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#21) moseras válasza domi007 (#20) üzenetére


moseras
tag

Szia.

Hát nem tudom mi lehet (a google-ban sem találtam ilyen problémát), én ezeket nézném még meg:

- esetleg valami korábbi install úgy szakadt félbe, hogy a regisztrációs adatbázist hulyen hagyta ott. Kipucolnám az összes xilinx bejegyzést a regedit-ből, tmp könyvtárakból, stb
- találtam olyan problémát, hogy szóközt tartalmazó könyvtárba nem telepíthető, tehát "x:\program files\xxx" lehet hogy nem jó
- azt is írták, hogy JAVA is kellhet neki, feltenném a legújabb JAVA runtime-t
- admin vagy telepítés közben ?
- van elég hely azon a partíción ?
- korábbi xilinx telepítési könyvtárakat leszedném
- install során egy text file-ba beírja, hogy eddig mit csinált meg. Azt megkeresném, hogy hol akad el (esetleg a setup.exe-t nem lehet-e paraméterezni, hogy DEBUG-ban menjen)
- feltenném a problémát a xilinx fórumán, illetve a forum.terminal.hu FPGA topic-jában

Imi.

[ Szerkesztve ]

(#22) domi007 válasza moseras (#21) üzenetére


domi007
őstag

A tanácsokat köszönöm, az a baj, hogy már jóval a destination directory előtt lehal az egész.
Leszedtem minden xilinxxel kapcsolatos dolgot a gépről, szóval szinte teljesen tanácstalan vagyok.
Egyszerűen olyan, mintha nem lenne jó az oprendszerem, azért nem lehetne kiválasztani, hiszen a reg id-m is jó.

Még megnézem egy exe debugger, mit lát ő belülről, aztán írok cska a supportnak.

Adminnak admin vagyok, a csatolt képen asszem ez látszik is.

JAVA fenn van.

Elég hely? El sem jutok a telepítésig, de egyébként, igen van, pontosan 15 GB.

DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#23) moseras válasza domi007 (#22) üzenetére


moseras
tag

Szia.

Ilyened van ?

Microsoft Windows XP Professional (32-bit only)

E szerint:
[link]

csak Prof/32bit jó XP-ből.

Imi.

(#24) moseras válasza moseras (#23) üzenetére


moseras
tag

Szia.

Bocs, azt már látom, hogy 32 bites, de azt nem, hogy prof. e ?

Imi.

[ Szerkesztve ]

(#25) domi007 válasza moseras (#24) üzenetére


domi007
őstag

Igen, a rendszerinfók szerint Professional verzió.

Közben feltettem a xilinx fórumán is a kérdést, de megoldás ott sem született.

Ksözönettel:
DOMy

"̶d̶e̶ ̶a̶ ̶t̶u̶d̶o̶m̶á̶n̶y̶ ̶m̶a̶i̶ ̶á̶l̶l̶á̶s̶a̶ ̶s̶z̶e̶r̶i̶n̶t̶ ̶a̶z̶ ̶i̶p̶a̶r̶i̶ ̶m̶é̶r̶e̶t̶e̶k̶b̶e̶n̶ ̶i̶s̶ ̶h̶a̶s̶z̶n̶á̶l̶h̶a̶t̶ó̶ ̶S̶H̶A̶1̶ ̶c̶o̶l̶l̶i̶s̶i̶o̶n̶t̶ ̶g̶e̶n̶e̶r̶á̶l̶ó̶ ̶e̶s̶z̶k̶ö̶z̶..." - 2017. február 23. óta már létezik

(#26) sz.bader


sz.bader
csendes tag

Sziasztok!

Méréstechnikai Fejlesztőmérnök (FPGA tapasztalattal) pozícióba keresek szakembert .
A leírást a linkre kattintva meg tudjátok tekinteni:

http://www.recruitment.hu/Job.aspx?JobId=7045

Ha valaki számára érdekes egy ilyen lehetőség, az sz.bader@recruitment.hu email címen tud jelentkezni.

Üdv,
BSZ

(#27) Chester_


Chester_
csendes tag

Sziasztok!

Volna egy kérdésem. Új vagyok az FPGA fejlesztésben, és van egy Xilinx Vertex 5 XC5VSX50T Xtreme DSP FPGA-m ML506-board-on, package: FF1136.

A VHDL nyelvet szeretném megtanulni, a Xilinx ISE Design Suite 10.1 Project Navigátorát használom. Már letöltöttem jópár tutorialt, ami végigvezet, hogyan kell lépésről lépésre létrehozni egy projektet, de általában csak Spartan3-hoz találok, és a végén van problémám az UCF fájl létrehozásánál, amikor a változókhoz kell lábakat rendelni.

Tudna nekem valaki küldeni egy a Xilinx pin táblázatainál emberibb (bőbeszédűbb) leírást, hogy melyik lábat mire használhatom? Vagy esetleg egy tutorialt Virtex5-höz, hátha abbol rájövök erre-arra:)

Illetve egy másik kérdés: Valahol olvastam, hogy nem feltétlenül szükséges nekem kiosztani a lábakat, mert ha nem adom meg akkor a program szétosztja ahogy ő optimálisnak gondolja. Ez valóban így van és csak nagyobb projekteknél van jelentősége vagy ez hülyeség?

Köszi előre is a válaszokat!

(#28) moseras válasza Chester_ (#27) üzenetére


moseras
tag

Üdv.

Az UCF formátuma szerintem Virtex esetén is hasonló mint Spartan esetén:

Pl. 8 LED output:

NET "LED<0>" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<1>" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<2>" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<3>" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<4>" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<6>" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
NET "LED<7>" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;

Pl. 1 nyomógomb INPUT:

NET "BTN_W" LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN;

Tehát itt pl. a TOP modulban "BTN_W" néven hivatkozhatsz egy jelre, ami a fizikai "D18" lábon érkezik be.

"Program szétosztja a lábakat"

Ilyenről nem hallottam, ennek szerintem semmi értelme. A kártyához kapott leírás (pdf)-ben egyértelműen benne van, hogy melyik láb hova vezet, azt nézegesd.

Nekem Spartan3E kártyám van, és a leírás szerint a "BTN_WEST" nyomógomb a "D18" lábra érkezik meg, tehát ezt kellett betennem az UCF file-ba.

Imi.

(#29) CPT.Pirk


CPT.Pirk
Jómunkásember

Jár még erre valaki?

Van egy kis kérdésem a vhdl-el kapcsolatban. Bináris -> BCD átalakítás próbálok csinálni.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;

Bejön nekem egy std_logic_vectorban 16 bit bit, ezt beolvasom egy 20 bites vektorba úgy, hogy 4 darab nulla után jön a beolvasott érték, majd pedig eltolom balra 3-al az egészet. Ez eddig sima ügy.

..
INPUT: in std_logic_vector(15 downto 0));
..
signal bcd_0 : std_logic_vector( 0 to 19);
..
bcd_0 <= to_stdlogicvector(to_bitvector("0000" & INPUT) sll 3);

Ez után szét szeretném szedni 5 darab 4 bites vektorra, amiket 5 bites vektorokba helyezek el egy darab 0-t követően, ez is sima ügy.

bcd_1 <= "0" & bcd_0(16 to 19);
bcd_2 <= "0" & bcd_0(12 to 15);
bcd_3 <= "0" & bcd_0(8 to 11);
bcd_4 <= "0" & bcd_0(4 to 7);
bcd_5 <= "0" & bcd_0(0 to 3);

Viszont itt vagyok megakadva, mert itt kellene eldöntenem, hogy nagyobb-e 5-nél az 5 bites bináris érték, ha igen, akkor hozzá kell adni 3-at binárisan, majd pedig ezután ha a legelső bit 1-lesz, akkor a következő 5 bites csoporthoz kell hozzáadni 1-et, ez az átvitel. Ez után már csak vissza kellene írnom az értékeket, majd eltolni egyben az egész 20 bites vektort és kész is lenne.
Itt van a leírtaknál egyszerűbben szemléltetve az, amit meg szeretnék csinálni: [link]

Ha integert csinálok a vektorokból, akkor a 00101-ből 101 lesz, ami nem jó nekem.

Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)

(#30) DarkByte válasza CPT.Pirk (#29) üzenetére


DarkByte
addikt

Esetleg volt már itt valakinek szerencséje az "FPGA-s Arduino-hoz" a Papilio One-hoz? [link]
Arduino-m van nem túl régóta, de most ez is nagyon kezd megtetszeni. Csak ez már az az árkategória amit jobban megfontolok. Kíváncsi lennék tapasztalatokra. :)

(#31) rambo880121 válasza CPT.Pirk (#29) üzenetére


rambo880121
csendes tag

Integerből így tudsz 5 bites vektort csinálni:
std_logic_vector(to_unsigned(your_int, 5));

(#32) tvamos


tvamos
nagyúr

Sziasztok!
Ha van meg itt valaki... tudna nekem segiteni, hogy az alabbi szet megszerzese utan, hogyan tudnek FPGA programozasba kezdeni? Programoztam mar mikrovezerlot assembly nyelven, arduinot, (ESP32,) meg PLC-t letra diagrammal... de inkabb hardware fejleszto vagyok. Egyelore egy knight rider futofeny megirasa a cel. (Mivel minden vezerlesi feladat LED villogtatas... csak a feltetelek bonyolultak.)

Elore is koszonom a segitseget!

"Mindig a rossz győz, és a jó elnyeri méltó büntetését." Voga János

Copyright © 2000-2024 PROHARDVER Informatikai Kft.